从NAND门实现XNOR门

从NAND门实现XNOR门

我们知道,NAND门是一个通用的逻辑门,利用它我们可以实现任何其他类型的逻辑门或逻辑表达。阅读本教程,了解如何只用NAND门来实现XNOR门。让我们先来看看XNOR和NAND门的基本概况。

什么是XNOR门

XNOR(独占-NOR)门是一种派生逻辑门。XNOR门是一个有两个输入和一个输出的逻辑门。当它的两个输入相等时,XNOR门产生一个高(逻辑1)的输出,即高(逻辑1)或低(逻辑0)。

当XNOR门的输入不同,即一个是高电平(逻辑1),另一个是低电平(逻辑0),那么XNOR门的输出就是低电平(逻辑0)状态。XNOR门的逻辑符号如图1所示。

从NAND门实现XNOR门

因此,XNOR门只有在它的两个输入都相等时才会产生一个输出高电平(逻辑1)。因此,XNOR门也被称为 “平等检测器”。

XNOR门的输出由以下公式给出。

从NAND门实现XNOR门

其中,A和B是XNOR门的两个输入变量,Y是XNOR门的输出变量。XNOR门的输出表达式被解读为Y等于A除以或B。

XNOR门的真值表

真值表显示了XNOR门的输入和输出之间的关系。XNOR门的真值表如下所示。

Input Output
A B Y = (AB +A’B’)
0 0 1
0 1 0
1 0 0
1 1 1

什么是NAND门

NAND门是一种通用逻辑门。其中,通用逻辑门是指可以用来实现任何种类的逻辑表达或任何其他类型的逻辑门。

NAND门基本上是两个基本逻辑门的组合,即AND门和NOT门,也就是说。

从NAND门实现XNOR门

NAND门是一种逻辑门,当其所有输入为高电平时,其输出为低电平(逻辑0),而当其任何输入为低电平(逻辑0)时,其输出为高电平(逻辑1)。因此,NAND门的操作与AND门相反。图2显示了一个双输入NAND门的逻辑符号。

从NAND门实现XNOR门

NAND门的输出方程

如果A和B是输入变量,Y是NAND门的输出变量,那么它的输出由以下公式给出。

从NAND门实现XNOR门

它被解读为 “Y等于A.B整条”。

NAND门的真值表

以下是NAND门的真值表-

Input Output
A B Y = (A.B)’
0 0 1
0 1 1
1 0 1
1 1 0

现在,让我们讨论一下从NAND门到XNOR门的实现。

从NAND门实现XNOR门

如上所述,NAND门是一种通用逻辑,使用它我们可以实现任何其他类型的逻辑门。使用NAND门实现XNOR门的过程如图3所示。

从NAND门实现XNOR门

从仅使用NAND门的XNOR门的逻辑电路图来看,我们显然需要5个NAND门。

现在,让我们了解这个NAND逻辑电路是如何产生相当于XNOR门的输出的。

第一个NAND门的输出是。

从NAND门实现XNOR门

第二和第三NAND门的输出是。

从NAND门实现XNOR门

这两个输出(Y2和Y3)被连接到第四个NAND门。这个NAND门将产生一个输出,这个输出是。

从NAND门实现XNOR门

最后,第四个NAND门的输出被输入到第五个NAND门,该门作为一个反相器,产生一个相当于XNOR门的输出,即。

从NAND门实现XNOR门

这就是XNOR门的输出。因此,通过这种方式,我们可以只用NAND门来实现XNOR门。

Python教程

Java教程

Web教程

数据库教程

图形图像教程

大数据教程

开发工具教程

计算机教程